Question 2 A Verilog module named "Sample" is declared as below: module Sample(clock, reset, w, Q); where input and output ports are defined as: input clock, reset, w; localparam m=8; output reg [m-1:0] Q; Q[O] is the right most bit and Q[m-1] is the left-most bit in the configuration. Input w provides the serial input to the circuit. Which of the following correctly implements a left-shift register? integer k; always@(posedge clock) begin if (reset) Q<=0; else begin for (k=m-1; k>=1; k=k-1) Q[K]<=Q[k-1]; Q[m-1]<=w; end end integer k; always@(posedge clock) begin if (reset) Q<=0; else begin for (k=1; k<=m-1; k=k+1) Q[k]=Q[k-1]; Q[0]=w; end end integer k; always@(posedge clock) begin if (reset) Q<=0; else begin for (k=m-1; k>=1; k=k-1) Q[K]<=Q[K-1]; Q[0]<=w; end end integer k; always@(posedge clock) begin if (reset) Q<=0; else begin for (k=1; k<=m-1; k=k+1) Q[K-1]<=Q[k]; Q[m-1]<=w; end end

Computer Networking: A Top-Down Approach (7th Edition)
7th Edition
ISBN:9780133594140
Author:James Kurose, Keith Ross
Publisher:James Kurose, Keith Ross
Chapter1: Computer Networks And The Internet
Section: Chapter Questions
Problem R1RQ: What is the difference between a host and an end system? List several different types of end...
icon
Related questions
Question
Question 2
A Verilog module named "Sample" is declared as below:
module Sample(clock, reset, w, Q);
where input and output ports are defined as:
input clock, reset, w;
localparam m=8;
output reg [m-1:0] Q;
Q[O] is the right most bit and Q[m-1] is the left-most bit in the configuration.
Input w provides the serial input to the circuit.
Which of the following correctly implements a left-shift register?
Transcribed Image Text:Question 2 A Verilog module named "Sample" is declared as below: module Sample(clock, reset, w, Q); where input and output ports are defined as: input clock, reset, w; localparam m=8; output reg [m-1:0] Q; Q[O] is the right most bit and Q[m-1] is the left-most bit in the configuration. Input w provides the serial input to the circuit. Which of the following correctly implements a left-shift register?
integer k;
always@(posedge clock)
begin
if (reset)
Q<=0;
else
begin
for (k=m-1; k>=1; k=k-1)
Q[K]<=Q[k-1];
Q[m-1]<=w;
end
end
integer k;
always@(posedge clock)
begin
if (reset)
Q<=0;
else
begin
for (k=1; k<=m-1; k=k+1)
Q[k]=Q[k-1];
Q[0]=w;
end
end
integer k;
always@(posedge clock)
begin
if (reset)
Q<=0;
else
begin
for (k=m-1; k>=1; k=k-1)
Q[K]<=Q[K-1];
Q[0]<=w;
end
end
integer k;
always@(posedge clock)
begin
if (reset)
Q<=0;
else
begin
for (k=1; k<=m-1; k=k+1)
Q[K-1]<=Q[k];
Q[m-1]<=w;
end
end
Transcribed Image Text:integer k; always@(posedge clock) begin if (reset) Q<=0; else begin for (k=m-1; k>=1; k=k-1) Q[K]<=Q[k-1]; Q[m-1]<=w; end end integer k; always@(posedge clock) begin if (reset) Q<=0; else begin for (k=1; k<=m-1; k=k+1) Q[k]=Q[k-1]; Q[0]=w; end end integer k; always@(posedge clock) begin if (reset) Q<=0; else begin for (k=m-1; k>=1; k=k-1) Q[K]<=Q[K-1]; Q[0]<=w; end end integer k; always@(posedge clock) begin if (reset) Q<=0; else begin for (k=1; k<=m-1; k=k+1) Q[K-1]<=Q[k]; Q[m-1]<=w; end end
Expert Solution
steps

Step by step

Solved in 2 steps with 1 images

Blurred answer
Recommended textbooks for you
Computer Networking: A Top-Down Approach (7th Edi…
Computer Networking: A Top-Down Approach (7th Edi…
Computer Engineering
ISBN:
9780133594140
Author:
James Kurose, Keith Ross
Publisher:
PEARSON
Computer Organization and Design MIPS Edition, Fi…
Computer Organization and Design MIPS Edition, Fi…
Computer Engineering
ISBN:
9780124077263
Author:
David A. Patterson, John L. Hennessy
Publisher:
Elsevier Science
Network+ Guide to Networks (MindTap Course List)
Network+ Guide to Networks (MindTap Course List)
Computer Engineering
ISBN:
9781337569330
Author:
Jill West, Tamara Dean, Jean Andrews
Publisher:
Cengage Learning
Concepts of Database Management
Concepts of Database Management
Computer Engineering
ISBN:
9781337093422
Author:
Joy L. Starks, Philip J. Pratt, Mary Z. Last
Publisher:
Cengage Learning
Prelude to Programming
Prelude to Programming
Computer Engineering
ISBN:
9780133750423
Author:
VENIT, Stewart
Publisher:
Pearson Education
Sc Business Data Communications and Networking, T…
Sc Business Data Communications and Networking, T…
Computer Engineering
ISBN:
9781119368830
Author:
FITZGERALD
Publisher:
WILEY